첨단 패키징을 위한 웨이퍼 공정 시스템

첨단 패키징을 위한 웨이퍼 공정 시스템

SPTS는 고밀도 팬 아웃 웨이퍼 레벨 패키징(FOWLP)과 금속이 채워진 실리콘 관통전극(TSV)를 사용하여 수직 방향으로 두 개 이상의 Die를 적층시키고 연결하는 가장 진보된 3D 패키지까지 여러 가지 첨단 패키징 공정을 위한 다양한 플라즈마 식각 및 증착 공정 기술을 제공합니다. 또한 SPTS는 실리콘 식각 분야에서 오랫동안 축적된 전문성을 활용하여 최대 300 mm 직경 웨이퍼의 DBG (Dicing Before Grind) 또는 DAG (Dicing After Grind) 공정을 위한 가장 진보된 플라스마 분할 (Dicing) 솔루션을 제공합니다. 반도체 칩 제조업체는 SPTS의 양산에 입증된 공정과 정밀한 공정 관리를 통해 생산 비용을 감소시키고 신뢰성, 성능, 다양한 기능을 제공할 수 있습니다

Omega® Plasma Etch

상세한 제품 상담을 원하시나요?
More info 문의

Omega® Plasma Etch

실리콘 플라즈마 식각 시스템

SPTS Omega® Plasma Etch 시스템은 어드밴스드 패키징 어플리케이션에서 요구 되는 초 고속의 식각 속도를 자랑하는 Rapier™ 모듈을 포함 하고 있습니다. 이 모듈은 주로 수직 형태 (Vertical), 점점 가늘어 지는 형태 (Tapered), 높은 종횡비 형태 (High aspect Ratio)의 비아 홀 형성, 실리콘 웨이퍼를 관통하는 슬롯 형성을 위해 다양 하게 사용이 됩니다. 특히 2.5D 또는 3D IC 패키징을 위한 인터포저 형성을 위하여 웨이퍼 뒷면으로부터 실리콘 내부 Cu가 채워진 Via를 노출시키는 전면 식각 (Via Reveal)에서 주로 사용 됩니다. 그리고 상위 버전 Rapier™ XE 모듈은 전면 식각에서 일반적으로 경쟁사 대비 2-4 배 더 빠른 식각 속도를 제공하며 레시피를 이용하여 웨이퍼 균일도를 조절할 수 있습니다. 또한, 이러한 전면 식각 방식 공정을 이용하면 5µm 심지어 0.5µm 두께까지 극도로 얇은 실리콘 웨이퍼를 형성시킬 수 있습니. 그리고 특허 보호를 받는 SPTS 고유의 엔드 포인트(EPD) 솔루션을 이용하면 비아 홀 형성 (TSV), 전면 식각 (Via reveal), 극도로 얇은 실리콘 형성 (Extreme Thinning)의 양산 공정에서 최적의 처리량과 높은 수율을 관리할 수 있습니다.

애플리케이션

TSV 형성 식각, Via reveal을 위한 전면 식각, 극도로 얇은 실리콘 형성

상세한 제품 상담을 원하시나요?
More info 문의

Mosaic™ Plasma Dicing

상세한 제품 상담을 원하시나요?
More info 문의

Mosaic™ Plasma Dicing

플라즈마 절단 시스템

SPTS의 Mosaic™ 플라즈마 다이싱 시스템은 실리콘 웨이퍼로부터 다이들을 분단 시키며 (최대 프레임 위 300 mm 웨이퍼) 기존의 물리적인 방법(mechanical saw) 과 레이저 기술을 이용한 다이싱 공법의 대안입니다. 플라즈마 다이싱은 건식 식각 방식을 이용 하여 데미지가 낮은 다이, 높은 다이 강도의 형성 , 입자 오염을 방지 하는데 도움이 됩니다. 이러한 장점들은 특히 데미지에 취약한 얇은 웨이퍼, Low-K 박막이 포함된 웨이퍼 그리고 다이 투 웨이퍼 본딩 (die-to-wafer bonding)를 가공할 때 더 기여할 수 있습니다. 또한, 병렬 공정이기에 초소형 다이 가공에서 높은 생산 처리량, 얇은 웨이퍼 가공에서 높은 수율로 인해 경제적 이점을 제공합니다. 그리고 굉장히 좁은 스크라이브 레인에서도 공정이 가능 하기 때문에 동일 웨이퍼 내 더 많은 다이를 배치시킬 수 있으며, 다이 모양에 관계없이 다이싱이 가능 하기 때문에 있어 사용자 입장에서 최적화된 웨이퍼 레이아웃이 가능합니다.

애플리케이션

웨이퍼 내 칩 분단 (특히 작은 다이에 적합), 얇은 웨이퍼, 또는 다이 투 웨이퍼

상세한 제품 상담을 원하시나요?
More info 문의

Sigma® PVD

상세한 제품 상담을 원하시나요?
More info 문의

Sigma® PVD

금속 증착을 위한 물리기상증착(PVD) 시스템

SPTS Sigma® PVD시스템은 실리콘 또는 몰드 웨이퍼 위에 Au, Al, Ti, TiW, Cu와 같은 금속을 증착시키는 데 사용됩니다. 유기 보호막과 신소재 기판 등을 사용하는언더 범프 금속 (UBM)와 재배선층(RDL)과 같은 첨단 패키징에는 기술적 어려움이 있습니다. Sigma® PVD 시스템은 아웃가싱 제거 (Degas) 및 표면 산화물 제거 (Pre-Clean)기술을 사용함으로써 다른 물리기상증착(PVD) 시스템에 비해 낮은 Rc 값을 생성하면서도 생산성을 2 배 이상 더 높이는 장점이 있습니다. 특히 2.5D와 3D-IC 패키징응용분야에서 SPTS의 첨단 Hi-Fill® 이온화 물리기상증착 (AHF-PVD) 은 높은 종횡비 (AR) 의 실리콘 관통전극(TSV)에서 Cu barrier/seed 에 대해 세계 최고 수준의Step coverage를 제공합니다.

애플리케이션

실리콘 및 FO-WLP 몰드 웨이퍼의 언더-범프 금속화 (UBM)와 재배선층 (RDL), TSV barrier/seed 층

상세한 제품 상담을 원하시나요?
More info 문의

Delta™ PECVD

상세한 제품 상담을 원하시나요?
More info 문의

Delta™ PECVD

플라즈마 화학기상증착(PECVD) 시스템

SPTS Delta™ PECVD 시스템은 첨단 패키징 응용분야의300 mm 접합 기판과 몰드에 사용할 수 있는 저온 증착 공정을 제공합니다. Delta™ PECVD는 110°C와 같은 낮은 증착 온도에서 검증된 고품질의SiO 및 SiN 박막을 생산합니다. 동일한 PECVD 챔버 안에서SiN – SiO 층을 증착할 수 있으며 생성된 박막은 높은 신뢰 수준의 전기적인 특성과 시간에 따른 안정성을 갖고 있습니다. 단일 및 적층막의 스트레스를 넓은 범위로 조절할 수 있으며 챔버 하드웨어의 최적화를 통해서 다른 PECVD 시스템에 비해 웨이퍼 내 스트레스 편차를 최소화할 수 있습니다. 필요에 따라 단일 웨이퍼 또는 멀티 웨이퍼의 아웃 가스 제거 (Degas) 옵션 사용하여, 증착된 박막의 품질을 향상시킬 수 있습니다. 또한 최적화된 SiO, TEOS SiO및 첨단 유전 박막은 융합 접합 (Fusion Bonding) 응용 분야에 사용할 수 있습니다.

애플리케이션

Via-last 실리콘 관통전극 라이너 (Liner), via-reveal 보호막, Thin wafer를 위한 응력 보상층, 융합 접합을 위한 유전막

상세한 제품 상담을 원하시나요?
More info 문의

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

KLA 직원인 경우 My Access의 KLA 인트라넷을 통해 신청하세요.

나가기