In Situ 공정 관리

In Situ 공정 관리

KLA의 SensArray® 종합 포트폴리오를 통해 공정 툴 환경의 모니터링 및 In Situ 인증이 가능해집니다. 유선 및 무선 센서 웨이퍼 및 레티클, 자동화 패키지 및 데이터 분석 시스템을 갖춘 SensArray 제품은 다양한 웨이퍼 및 레티클 공정을 위한 종합 정보를 제공합니다. 웨이퍼 공정 설비 제조업체, IC 제조업체 및 레티클 제조업체는 공정 조건을 제어, 진단 및 시각화하기 위해 SensArray 데이터를 사용합니다.

카테고리

CryoTemp™

상세한 제품 상담을 원하시나요?
문의

CryoTemp™

공정 중 웨이퍼 온도 (-40° ~ 30°C) 측정 시스템

CryoTemp™ 공정 중 300mm 웨이퍼 온도 측정 시스템은 실제 진공 공정 조건에서 건식 식각 공정의 특성화 및 모니터링을 지원합니다*. 정전 받침대 (ESC)의 온도 프로파일 초기화, 균일성 향상 및 일치를 위해 설계된 CryoTemp 웨이퍼를 사용하면 플라즈마 식각 챔버의 빠른 공정 특성화 및 관리가 가능해집니다. CryoTemp에는 정확도가 0.5°C이고 동작 범위가 -40°C~30°C인 센서가 21개 있습니다. 자동화를 지원하는 CryoTemp는 챔버가 작동하지 않는 시간을 줄이고, 귀중한 엔지니어링 자원을 절약하며, 설비 성능과 전반적인 생산성을 향상시킵니다.

(* 참조: CryoTemp 웨이퍼는 플라즈마를 켠 상태에서 사용하도록 설계되지 않았지만 공정 챔버에서 받침대에 붙이거나 뗄 수 있습니다.)

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 공정 설비 일치

건식 식각, 박막 | -40°C ~ 30 °C

상세한 제품 상담을 원하시나요?
문의

SensArray® Automation

상세한 제품 상담을 원하시나요?
문의

SensArray® Automation

공정 중 온도 측정 자동화 패키지

SensArray® Automation 패키지는 공정 설비 챔버의 온도 측정 자료를 자동으로 빠르게 수집하는 동시에 반도체공장 시운전을 지원하는 반자동 기능도 제공합니다. SensArray Automation 패키지에는 모든 300mm 무선 SensArray 제품과 호환되는 AS1000 자동화 기지 장치, 오버헤드 트랙 (OHT) 호환 FOUP, 시스템 자동화 컨트롤러 및 사무용 PC 소프트웨어 사용권한 사용 갯수 구성 요소가 포함되어 있습니다. SensArray FOUP는 유연하게 반도체공장에 적용하기 위해 두 개의 개별 SensArray 제품을 지원할 수 있으며, SPC 차트로 직접 데이터 전달을 통해 다른 생산 FOUP와 동일한 방식으로 처리될 수 있습니다. SensArray Automation 는 생산성 향상을 통해 공정 설비의 가용성, 엔지니어링 자원의 보다 효율적인 사용, 반도체공장의 MES 데이터베이스에 있는 중앙 집중식 자료 저장을 향상시킵니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 챔버 일치, 공정 설비 일치

상세한 제품 상담을 원하시나요?
문의

EtchTemp Series

상세한 제품 상담을 원하시나요?
문의

EtchTemp Series

공정 중 플라즈마 식각 웨이퍼 온도(20°~140°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 공정 중 웨이퍼 온도 측정 시스템의 EtchTemp Series는 실제 공정 조건에서 플라즈마 식각 공정 환경이 생산 웨이퍼에 미치는 영향을 포착합니다. EtchTemp-HD 측정 시스템에는 높은 밀도의 센서가 포함되어 있어 전도체 식각 응용분야에 대한 임계치수 균일성 관리와 강한 상관관계를 갖는 웨이퍼 전체에 대한 온도 모니터링이 가능합니다. EchTemp-HD 무선 웨이퍼는 생산 웨이퍼 조건을 밀접하게 나타내는 열 조건을 특성화함으로써 공정 엔지니어들이 식각 공정 조건을 조정하고, 전공정 플라즈마 식각 챔버의 검증, 일치 및 PM 이후 확인을 할 수 있도록 지원합니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 챔버 일치, 공정 설비 일치

유전체 플라즈마 식각(EtchTemp), 전도체 플라즈마 식각 (EtchTemp-HD, EtchTemp SE-HD, EtchTemp-SE), 이온 주입 | 20-140°C

관련제품

EtchTemp-HD: 다중 영역 정전 받침대 (ESC) 웨이퍼 공정의 특성을 파악하기 위한 실제 공정 조건에서의 시간 및 공간 온도 자료

EtchTemp-LT: 20°C 미만의 웨이퍼 식각 공정을 특성화하기 위한 실제 공정 조건에서의 시간 및 공간 온도 데이터

EtchTemp-HP: 높은 총 전력, 높은 종횡비 접합전도층(HARC) 식각 웨이퍼 공정을 특성화하기 위한 실제 공정 조건에서 시간 및 공간 온도 자료

EtchTemp-SE: 고출력, 고주파 실리콘 식각 웨이퍼 공정의 특성을 파악하기 위한 실제 공정 조건에서의 시간 및 공간 온도 자료

EtchTemp: 고출력, 고주파 유전체 식각 웨이퍼 공정의 특성을 파악하기 위한 실제 공정 조건에서의 시간 및 공간 온도 자료

상세한 제품 상담을 원하시나요?
문의

HighTemp-400

상세한 제품 상담을 원하시나요?
문의

HighTemp-400

공정 중 웨이퍼 온도 (20° ~ 400°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 HighTemp-400 공정 중 웨이퍼 온도 측정 시스템은 첨단 박막 공정 (FEOL 및 BEOL ALD, CVD 및 PVD) 및 기타 고온 공정을 최적화하고 모니터링하도록 설계되었습니다. HighTemp-400 무선 웨이퍼는 공정 설비의 열 균일성을 측정하여 실제 생산 공정 조건에서 실시간으로 수집된 시간 및 공간 온도 자료에 대한 전체적인 이해를 제공합니다. HighTemp-400은 공정 허용범위 및 패터닝 성능에 영향을 미칠 수 있는 플라즈마 환경과 같은 응용분야의 열 변동을 밝혀냄으로써 집적회로 제조업체가 새로운 재료, 트랜지스터 기술 및 복잡한 패터닝 기술의 통합을 최적화할 수 있도록 지원합니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 공정 설비 일치

CVD, PVD, ALD, 트랙, 스트리퍼, 건식 식각 | 20-400°C

상세한 제품 상담을 원하시나요?
문의

Integrated Wafer™

상세한 제품 상담을 원하시나요?
문의

Integrated Wafer™

공정 중 사진식각 웨이퍼 온도 (15° ~ 145°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 Integrated Wafer™ 2 공정 중 웨이퍼 온도 측정 시스템은 사진식각 공정을 모니터링하고 유지하는 데 중요한 열 자료를 수집합니다. Integrated Wafer 2는 무선 저외관 설계를 통해 거의 모든 사진식각 공정 장비와 함께 사용할 수 있어서 중요한 생산 공정에 매우 정확한 정적 및 동적 온도 측정 자료를 제공합니다. Integrated Wafer 2는 65개의 센서를 사용하여 사진식각 엔지니어가 열 선량 균일성을 특성화하고 이동, 가열, 냉각 및 정상 상태 동작을 포함한 열 주기의 영역을 분석할 수 있도록 지원합니다. Integrated Wafer 2는 첨단 사진식각 공정(예: 트랙 노광 후 감광재 경화 열처리하는 장소)에서 중요한 뜨거운 열판 가열 영역 요소의 측정 및 모니터링과 같은 응용분야을 지원합니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 공정 설비 일치

사진식각 트랙 노광 후 감광재 경화 열처리| 15-145°C

상세한 제품 상담을 원하시나요?
문의

UV Wafer

상세한 제품 상담을 원하시나요?
문의

UV Wafer

공정 중 증착 및 서냉 UV 광원 측정 시스템

UV Wafer 공정 중 자외선(UV) 광원 300mm 측정 시스템은 무선 센서 웨이퍼 기술을 사용하여 박막 증착 공정 설비 내에서 웨이퍼 표면의 UV 광원 선량 및 강도를 측정합니다. 이전에 사용할 수 없었던 공정 최적화 및 모니터링을 가능하게 하는 UV Wafer 는 FCVD (유동성) 산화물과 낮은 유전율의 유전체 박막을 서냉하거나 경화하는 데 사용되는 UV 광원에서 웨이퍼 표면에 도달하는 빛의 강도에 대한 시간 및 공간 정보를 제공합니다. UV Wafer 는 또한 광원 수명에 의한 천이 또는 불균일한 박막 특성을 초래하는 광원 강도의 다른 변화를 식별할 수 있습니다. UV Wafer 는 UV 광원 하위 시스템 내의 광학 시스템 문제를 눈에 뛰게 함으로써 엔지니어가 최적의 경화 공정을 실현하는 공정 설비 개선을 추진할 수 있도록 지원합니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 검증, 공정 설비 모니터링, 공정 설비 일치

박막 증착, UV 경화, UV 서냉| 센서 모듈을 장착한 UV Wafer 의 높이는 4mm 또는 6mm

상세한 제품 상담을 원하시나요?
문의

Smartwafer™

상세한 제품 상담을 원하시나요?
문의

Smartwafer™

웨이퍼 취급 모니터

Smartwafer2™ 취급 모니터는 공정 장비를 통해 작동하며 경로를 따라 진동 및 가속도를 기록합니다. 기록 과정을 완료한 후 자료는 외부 판독소를 통해 PC로 다운로드됩니다. 자료는 장비에서 일어나는 동작의 순서와 동기화되며 과거의 양호한 특징과 비교하게 됩니다. 비정상적인 신호는 웨이퍼에 입자, 결함 또는 스크래치를 유발할 수 있는 잘못된 기계적 구성 요소 또는 정렬을 나타내고 정확한 위치를 알려줍니다. 표준 300mm 실리콘 웨이퍼는 표준 공정 웨이퍼의 동작과 밀접하게 일치하기 위해 사용되어 웨이퍼 취급 시스템을 통해 동일한 기계적 레시피를 실행할 수 있습니다. 전자 회로는 실리콘 접착제로 등각으로 코팅되어 Smartwafer2를 보호 및 방수합니다. 자동화 로드포트는 300mm 자동화된 반도체 공장 용으로 설계되었으며 다른 일상적으로 진행되는 모니터와 마찬가지로 생산 방식으로 Smartwafer2를 사용할 수 있습니다. 자동화 로드포트는 AMHS/OHV 및 호스트 연결에 필요한 E84 및 기타 SEMI 표준을 비롯한 모든 SEMI 표준을 충족합니다.

애플리케이션

공정 설비 검증, 공정 설비 모니터링, 공정 설비 일치

상세한 제품 상담을 원하시나요?
문의

EWG Wafer™

상세한 제품 상담을 원하시나요?
문의

EWG Wafer™

웨이퍼 취급 모니터

EWG Wafer™ 취급 모니터는 회전 받침대에서 웨이퍼의 편심도와 흔들림을 측정합니다. 이는 이러한 측정을 하는 유일한 공정 중 방법입니다. 현재 이러한 변수를 확인하기 위해 사용되는 일반적인 방법은 설비 챔버를 열고 기계적인 측정기를 사용하는 시간이 많이 걸리는 과정을 포함합니다. 웨이퍼 중앙에 XY 가속도계 1개가 배치되고 웨이퍼 가장자리에 가까운 6개 지점에 Z 가속도계가 배치되면 EWG 웨이퍼는 설비 개방을 방지하고 호환되는 자동화 로드포트를 사용하여 완전히 자동화될 수 있습니다. 자동화 로드포트는 300mm 자동화된 반도체 공장 용으로 설계되었으며 다른 일상적으로 하는 모니터와 마찬가지로 생산 방식으로 EWG 웨이퍼를 사용할 수 있습니다. 자동화 로드포트는 AMHS/OHV 및 호스트 연결에 필요한 E84 및 기타 SEMI 표준을 비롯한 모든 SEMI 표준을 충족합니다.

애플리케이션

공정 설비 검증, 공정 설비 모니터링, 공정 설비 일치

상세한 제품 상담을 원하시나요?
문의

RH Wafer™

상세한 제품 상담을 원하시나요?
문의

RH Wafer™

웨이퍼 취급 모니터

RH Wafer™ 취급 모니터는 공정 설비 전체를 이동하며 여러 위치에서 상대 습도를 측정합니다. 상대 습도 센서 및 Smartwafer2™ 유형 회로는 정화된 SmartFOUP에 있는 300mm 베어 실리콘 웨이퍼에 장착됩니다. 그런 다음 SmartFOUP는 공정 설비 N2 로 정화된 로드포트에 놓여지고, FOUP의 상대 습도를 측정하여 N2 정화 기능을 모니터링합니다. RH 웨이퍼와 정화된 SmartFOUP는 완전 자동화된 모니터링을 위해 자동 로드포트 및 분석 소프트웨어와 완벽하게 호환됩니다. 자동화 로드포트는 300mm 자동화된 반도체 공장 용으로 설계되었으며 다른 일상적으로 하는 모니터와 마찬가지로 생산 방식으로 RH 웨이퍼를 사용할 수 있습니다. 자동화 로드포트는 AMHS/OHV 및 호스트 연결에 필요한 E84 및 기타 SEMI 표준을 비롯한 모든 SEMI 표준을 충족합니다. 분석 소프트웨어는 통계적 공정 제어 (SPC) 도구를 사용하여 상대 습도 불규칙성 또는 추세를 탐지합니다.

애플리케이션

공정 설비 검증, 공정 설비 모니터링, 공정 설비 일치

상세한 제품 상담을 원하시나요?
문의

WetTemp Series

상세한 제품 상담을 원하시나요?
문의

WetTemp Series

공정 중 습식 공정 웨이퍼 온도 (15° ~ 140°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 WetTemp 공정 중 웨이퍼 온도 측정 시스템은 습식 세정 및 기타 습식 공정의 모니터링을 지원합니다. WetTemp Series 모니터 웨이퍼는 대부분의 단일 웨이퍼 습식 세정 공정 시스템과 호환되므로 엔지니어가 습식 세정 설비를 검증하고 습식 세정 공정을 최적화하며 습식 세정 시스템 성능을 개선할 수 있습니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 공정 설비 일치

습식 식각, 습식 세정 | 15-140°C

관련제품

WetTemp-HR: 65개의 통합 온도 센서가 9개의 동심 원에 균일하게 분포되어 웨이퍼 전체의 충분한 공간 온도 자료에 대한 웨이퍼 표면을 포함하는 영역을 개선합니다. 웨이퍼 두께가 1.2mm인 단일 웨이퍼 습식 공정과 호환됩니다.

WetTemp-LP: 웨이퍼 온도 모니터링 65개의 통합 온도 센서가 147mm 영역 안에서 5개의 동심원 내에 편향된 밀도를 가지고 분포되어 있습니다. 웨이퍼 두께가 0.775mm인 단일 웨이퍼 습식 공정과 호환됩니다.

WetTemp: 웨이퍼 온도 모니터링 65개의 통합 온도 센서가 147mm 영역 안에서 5개의 동심원 내에 편향된 밀도를 가지고 분포되어 있습니다. 웨이퍼 두께가 1.2mm인 단일 웨이퍼 습식 공정과 호환됩니다.

상세한 제품 상담을 원하시나요?
문의

ScannerTemp

상세한 제품 상담을 원하시나요?
문의

ScannerTemp

공정 중 스캐너 웨이퍼 온도 (20°~ 24°C) 측정 시스템

ScannerTemp 공정 중 웨이퍼 온도 측정 시스템은 건식 스캐너, 습식 스캐너 및 EUV 사진식각 스캐너 모니터링을 지원합니다. ScannerTemp 무선 웨이퍼는 사진식각 엔지니어가 패턴 오버레이 성능에 영향을 미치는 스캐너 열 변동을 특성화하고 모니터링하는 데 도움이 되는 고정밀 시간 및 공간 웨이퍼 온도 자료를 생성합니다. 평평한 표준 두께의 웨이퍼 형태의 ScannerTemp를 사용하여 높은 정밀도와 낮은 잡음으로 사진식각 열 균일성 및 안정성을 모니터링하여 스캐너 검증 및 일치를 수행할 수 있습니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 공정 설비 일치

사진식각 스캐너 | 20-24°C | 웨이퍼 두께는 0.775mm

상세한 제품 상담을 원하시나요?
문의

Integral Implant i3

상세한 제품 상담을 원하시나요?
문의

Integral Implant i3

공정 중 이온 주입 웨이퍼 온 (15° ~ 130°C) 측정 시스템

300mm 및 200mm 구성으로 제공되는 Integral Implant i3 공정 중 웨이퍼 온도 측정 시스템은 이온 주입 공정을 위한 웨이퍼 온도 모니터링을 지원합니다. Integral Implant i3 무선 웨이퍼는 높은 정확도의 시간 및 공간 웨이퍼 온도 자료를 생성하므로 이온 주입 엔지니어가 이온 주입 단위 면적 당 입자 수 및 균일성에 영향을 미치는 열 변동을 특성화하고 모니터링하며 이온 주입 공정 검증 및 설비 일치를 개선할 수 있습니다.

애플리케이션

공정 개발, 공정 검증, 공정 설비 모니터링, 공정 설비 검증, 공정 설비 일치

이온 주입 | 15-130°C

상세한 제품 상담을 원하시나요?
문의

Thermal TRACK 6

상세한 제품 상담을 원하시나요?
문의

Thermal TRACK 6

무선 자료 수집

Thermal TRACK™ 6 자료 수집 시스템은 유선 SensArray® Process Probe™ 계측 웨이퍼 제품에서 공정 중 웨이퍼 온도 측정을 지원합니다. Thermal TRACK 6 시스템은 무선 ISIS 5(지능형 센서 인터페이스 시스템) 데이터 수집 장치를 휴대용 태블릿과 결합하여 온도 프로필의 특성화를 위한 자료의 실시간 시각화 및 기록을 수행합니다. Thermal TRACK 6은 온도 상승, 정상 상태 및 냉각 시 웨이퍼 온도를 그래픽으로 표시하여 대부분의 공정을 빠르고 비용 효율적으로 관리할 수 있는 방법을 제공합니다. 이 휴대용 시스템은 천이 및 정상 상태 측정 모두에 대해 높은 정확도, 정밀도 및 분해능을 제공하여 반도체 공장 엔지니어가 온도 설정값을 초기화 및 확인하고 사전 정의된 예방 정비 점검을 실행할 수 있도록 중요한 데이터를 제공합니다. Thermal TRACK 6는 이전 세대와 동일한 기능을 제공하는 10.5" 태블릿과 함께 제공되지만 이제 최적화된 사용자 인터페이스와 향상된 사용자 경험을 포함함과 동시에 외부 PC의 필요성도 없앴습니다.

애플리케이션

공정 설비 모니터링

상세한 제품 상담을 원하시나요?
문의

Thermal TRACK 5

상세한 제품 상담을 원하시나요?
문의

Thermal TRACK 5

손에 들고 쓰는 무선 데이터 취득

Thermal TRACK 5 데이터 취득 시스템은 연결된 SensArray® Process Probe로 측정된 웨이퍼 제품과 연관된 In Situ 웨이퍼 온도 측정을 지원합니다. Thermal TRACK 5 시스템은 온도 프로필의 특성화를 위한 데이터 로그 작업 및 실시간 시각화 목적으로 무선 ISIS 5(지능형 센서 인터페이스 시스템) 데이터 취득 유닛을 손에 들고 쓰는 개인 어시스턴트와 결합합니다. 램프업, 정상 상태, 쿨 다운 시의 웨이퍼 온도에 대한 유용한 그래픽 표현을 제공함에 따라 Thermal TRACK 5는 대부분의 공정을 관리하는 신속하고 비용 효율적인 방식을 제공합니다. 이러한 휴대용 시스템은 과도 상태 및 정상 상태 측정을 위한 높은 수준의 정확도, 정밀도와 해상도를 제공하여 Fab 엔지니어들이 온도 설정 값을 교정하고 확인하면 사전에 정의된 예방적 유지보수 점검을 진행하는데 필요한 필수 데이터를 제공합니다.

애플리케이션
공정 툴 모니터링
연결 유틸리티 소프트웨어 다운로드 링크
상세한 제품 상담을 원하시나요?
문의

MaskTemp™ 2

상세한 제품 상담을 원하시나요?
문의

MaskTemp™ 2

In Situ 레티클 온도 측정 시스템

MaskTemp™ 2 In Situ 레티클 온도 측정 시스템은 전자 빔 가공기 및 고온 레티클 공정 단계의 모니터링 및 인증을 위해 마스크 샵에서 사용됩니다. 마스크를 완전히 인쇄하려면 긴 시간 (최대 24시간) 동안 극한 온도 안전성이 필요하기 때문에 MaskTemp 2는 전자 빔 마스크 가공기 인증 과정에서 중요한 역할을 수행합니다. 전자 빔 마스크 가공기 내에서 MaskTemp 2는 24시간 동안 연속적으로 온도 데이터를 수집하고 중대한 영향을 미칠수 있는 마스크 인쇄 전에 시스템의 온도 안정성을 확보하기 위해 필요한 데이터를 마스크 제조업체에게 제공합니다. 또한 Mask Temp 2는 후노광 베이크 특성화, 열판 온도 산포 모니터링, 열판 매칭과 기타 고온 공정 애플리케이션을 지원하여 마스크 제조업체가 최종 레티클 품질에 영향을 줄 수 있는 인쇄 후 공정 온도 변화를 감소시키고 파악하도록 도와줍니다.

애플리케이션

전자 빔 마스크 가공 인증, 공정 개발, 공정 제어, 공정 인증, 공정 모니터링, 공정 툴 인증, 공정 툴 매칭

전자 빔 마스트 가공 | 20-40°C
후노광 베이크 | 20-140°C

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1530/1535

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1530/1535

In Situ 웨이퍼 온도 모니터링 시스템

Process Probe™ 1530 및 1535 계측 웨이퍼는 저온 벽, RTP, 스퍼터링, CVD, 플라즈마 스트리퍼와 에피택셜 반응기를 포함한 다양한 범위의 공정에 대한 In Situ 온도를 모니터링하는데 사용됩니다. Process Probe 1530 및 1535 는 공정주기의 주요 단계별로 직접적인 실시간 웨이퍼 온도 측정 값을 제공합니다. 엔지니어들은 종합적인 온도 데이터를 활용하여 공정 조건을 미세조정하고 특성화하며 이를 통해 개선된 공정 설비 성능, 웨이퍼 품질 및 수율을 추진합니다.

애플리케이션

공정 개발, 공정 인증, 공정 툴 인증, 공정 툴 매칭

저온 벽 얇은 박막 공정 챔버 (1530), 고온 벽 얇은 박막 공정 챔버 (1535) | 0-1100°C

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1630

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1630

In Situ 웨이퍼 온도 모니터링 시스템

Process Probe™ 1630 계측 웨이퍼는 프론트 엔드 대기 및 벨트 CVD 시스템과 백 엔드 웨이퍼 솔더 범핑 리플로우 오븐를 위한 웨이퍼 온도 프로파일의 정확한 In Situ 특성화를 가능하게 합니다. 공정 엔지니어들은 Process Probe 1630을 사용하여 히터 존 설정값을 조정하기 위한 edge-to-center 온도 프로파일을 정하고 히터와 벨트에서의 산화물 증착으로 인한 열전달 변화를 조정하기 위한 증착 온도의 변화를 측정할 수 있습니다.

애플리케이션

공정 개발, 공정 인증, 공정 툴 인증, 공정 툴 매칭

얇은 박막 APCVD, 솔더 범프 리플로우 오븐 | 0-800°C

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1730

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1730

In Situ 웨이퍼 온도 모니터링 시스템

Process Probe™ 1730 계측 웨이퍼는 SOG 애플리케이션, 폴리이미드, 레지스트 베이크와 오븐 애플리케이션, 온도 제어 웨이퍼 척 시스템 및 감광재 트랙 시스템 내 웨이퍼 온도 프로파일의 In Situ 특성화를 정밀하게 하도록 합니다. 엔지니어들은 Process Probe 1730을 통해 수율을 증대시키는 공정 장비 성능 개선을 가능하게 하는 공정 조건을 미세조정하고 특성화합니다.

애플리케이션

공정 개발, 공정 인증, 공정 툴 인증, 공정 툴 매칭

Litho 트랙 시스템, 온도 제어 웨이퍼 척 시스템 및 오븐 | -150-300°C

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1840/1850

상세한 제품 상담을 원하시나요?
문의

Process Probe™ 1840/1850

In Situ 웨이퍼 온도 모니터링 시스템

Process Probe™ 1840 및 1850 계측 웨이퍼는 고정밀 실시간 열판 온도 측정을 제공하여, 감광재 트랙 시스템 및 웨이퍼 프로버 등의 공정을 지원합니다. Process Probe 1840 및 1850은 접촉온도 센서 또는 정밀도가 낮은 공정 모니터에 의존하지 않고 웨이퍼 온도 안전성과 산포를 직접 측정할 수 있도록 합니다. Litho 엔지니어들은 Process Probe 1840 및 1850을 활용하여 감광재 베이크 온도 산포를 미세 조정하고 특성화할 수 있으며 이를 통해 첨단 Litho 공정이 높은 수율에 도달하기 위해 필요한 온도 정확도를 충족하도록 합니다.

애플리케이션

공정 개발, 공정 인증, 공정 툴 인증, 공정 툴 매칭

Litho 트랙 후노광 베이크(PEB), 스핀온 무반사 코팅, 백엔드 프로버 | 0-250°C (1840), 0-350°C (1850)

상세한 제품 상담을 원하시나요?
문의

PlasmaSuite

상세한 제품 상담을 원하시나요?
문의

PlasmaSuite

플라즈마 데이터 분석 시스템

PlasmaView
PlasmaView 공정 분석 보기 시스템은 상세한 플라즈마 식각 공정 분석을 보기 위한 직관적인 인터페이스를 제공합니다. PlasmaView는 EtchTemp와 EtchTemp-SE에서 수집한 데이터를 활용하여 플라즈마 공정 데이터를 시간 및 공간에 대비해서 표시합니다(2D 또는 3D). 공정 엔지니어들은 영화 뷰를 통해 주요 과도 반응을 시각화할 수 있으며 이는 결함 조사에 활용 가능합니다.


PlasmaControl
PlasmaControl 분석 엔진은 일상 작업과 챔버 투 챔버 매칭 제어 및 모니터링을 지원합니다. 복잡한 플라즈마 식각 공정을 소수의 주요 단계로 축소하며 제어 사양과 비교하여, 단순한 ‘Go’ 또는 ‘No Go’ 결과를 런별로 제공합니다. 엔지니어들은 PlasmaControl를 통해 추세를 관찰하고, 공정사고를 검측 및 조사하며 플라즈마 식각 챔버를 비교할 수 있습니다.

애플리케이션

공정 개발, 공정 인증, 공정 툴 인증, 공정 툴 매칭

Litho 트랙 후노광 베이크(PEB), 스핀온 무반사 코팅, 백엔드 프로버 | 0-250°C (1840), 0-350°C (1850)

상세한 제품 상담을 원하시나요?
문의

LithoSuite

상세한 제품 상담을 원하시나요?
문의

LithoSuite

Litho 데이터 분석 시스템

LithoView
LithoView 공정 분석 사용자 인터페이스는 데이터의 2D 및 3D 시계열 뷰를 포함하여 표준화된 데이터 보기 역량을 제공합니다. LithoView는 완전한 SensorWafer™ 커뮤니케이션, 미션 운영 및 데이터 다운로딩 등 완전한 미션 제어 역량을 엔지니어에게 제공합니다. 또한 LithoView눈 완전한 데이터 이력 추적을 위한 데이터베이스와 브라우저를 포함합니다.


AutoCal TrackTune Application
AutoCal TrackTune 첨단 소프트웨어 애플리케이션은 첨단 트랙 열판을 교정하고 최적화하는데 사용됩니다. 해당 애플리케이션은 SensArray® Integrated Wafer로 수집한 데이터의 정확도를 활용하여 감광재 공정 존의 온도 프로파일을 검출합니다. 상세한 온도 프로파일 데이터를 OEM 플레이트별 온도 모델링 엔진과 결합하여 최적 열판 제어 시스템 인풋 변수 설정을 생성합니다. 해당 최적 설정은 플레이트 내 산포를 급격히 개선시키며 플레이트 투 플레이트 온도 프로파일을 동기화합니다.

애플리케이션
공정데이터 분석
상세한 제품 상담을 원하시나요?
문의

Thermal MAP®

상세한 제품 상담을 원하시나요?
문의

Thermal MAP®

무선 데이터 취득 및 데이터 분석

Thermal MAP®데이터 취득 및 분석 시스템은 In Situ 웨이퍼 온도 측정을 지원합니다. Thermal MAP 시스템은 무선 ISIS (지능형 센서 인터페이스 시스템) 데이터 취득 유닛을 모든 SensArray® 계측 웨이퍼로부터 수집한 데이터를 분석하고 시각화하는 강력한 그래픽 소프트웨어와 결합합니다. 이러한 고도화된 웨이퍼 온도 데이터 취득 및 분석 시스템은 과동 및 정상 상태 측정 모두에서 우수한 정확도, 정밀도와 해상도를 제공합니다. Thermal MAP은 온도 램프업, 정상 상태 및 램프다운에 대한 정확하고 유용한 그래픽 표현을 제공함으로써 아래 툴을 활용하여 신속한 공정 최적화를 지원합니다.

  • 박막 두께와 저항성 맵에 대한 상관관계를 지원하는 윤곽 및 표면 맵
  • 공정 중 온도 프로파일 변화를 신속하게 볼 수 있는 애니메이션
  • 런투런 및 위드인 런 분석

애플리케이션
공정 데이터 분석
상세한 제품 상담을 원하시나요?
문의

상세한 제품 상담을 원하시나요?

문의

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

KLA 직원인 경우 My Access의 KLA 인트라넷을 통해 신청하세요.

나가기