用于先进封装的晶圆制程系统

用于先进封装的晶圆制程系统

SPTS为先进封装方案提供了一系列等离子刻蚀和沉积工艺技术,从高密度扇出型晶圆级封装(FOWLP)到最先进的3D封装,其中两个或多个芯片(可能用于不同的功能)以垂直方向通过硅通孔(TSV)技术堆叠和连接,并以金属填充。利用数十年来在硅刻蚀方面的专业知识,SPTS还为直径最高达300毫米的晶圆提供最先进的等离子切割解决方案,用于研磨前切割(DBG)或研磨后切割(DAG)。SPTS经量产验证的工艺和精确的工艺控制使芯片制造商能够降低生产成本,提高产品可靠性、性能和多功能集成。

Omega® Plasma Etch

对此产品感兴趣或有疑问?
More info 联系我们

Omega® Plasma Etch

应用于硅材质的等离子刻蚀系统

SPTS Omega® 等离子蚀刻系统包括Rapier™ 等一系列应用于先进封装的高速硅蚀刻工艺腔体。Rapier™ 蚀刻腔体可用于在硅通孔或插入式2.5D/3D-IC封装应用中,刻蚀出垂直或锥形、高深宽比的通孔或沟槽,以及用于从晶圆背面通过平面揭露式蚀刻使孔中填充的铜柱露出。Rapier™ XE工艺腔体结合了均匀性和蚀刻速率可调的工艺配方,其应用于平面硅刻蚀的刻蚀速率通常比同类系统快2-4倍。通过加入蚀刻停止层,同样的工艺可用于将晶圆极端减薄至5µm甚至0.5µm。此外,SPTS还为TSV蚀刻、平面揭露式蚀刻及极端减薄蚀刻提供了独特的、受专利保护的蚀刻终点检测方案,实现了大规模量产中的最佳产出和良率。

主要应用

用于TSV蚀刻,通孔平面揭露式硅蚀刻(blanket Si etch for via reveal)或晶圆减薄蚀刻

对此产品感兴趣或有疑问?
More info 联系我们

Mosaic™ Plasma Dicing

对此产品感兴趣或有疑问?
More info 联系我们

Mosaic™ Plasma Dicing

等离子切割系统

SPTS Mosaic™ Plasma Dicing 系统提供了机械切割或激光切割技术的替代方案,用于从硅晶圆(直径高至300毫米,固定于框架上)中分离出晶粒。这种方案提供了一个低损伤、干法化学刻蚀的工艺,可增 加晶粒强度和避免颗粒污染。当晶圆较薄或含有易碎的Low-K薄膜时,以及对于晶粒与晶圆键合的结构而言,这些优点尤其重要。作为一种并行工艺,等离子切割在切割小晶粒和/或薄晶圆时具有显著的产量、良率和成本优势。更窄的切割道允许每片晶圆有更多的晶粒,并且没有对晶粒形状的限制,可以优化晶圆设计布局。

主要应用

晶粒分离,特别适用于非常小的晶粒、薄晶圆或晶粒与晶圆键合的结构

对此产品感兴趣或有疑问?
More info 联系我们

Sigma® PVD

对此产品感兴趣或有疑问?
More info 联系我们

Sigma® PVD

应用于金属沉积的物理气相沉积系统

SPTS Sigma® PVD系统用于在硅晶圆或模塑式晶圆上沉积金、铝、钛、钛钨和铜等金属。先进封装技术中采用的有机钝化和新的基板材料对凸块下金属化(UBM)和重新布线(RDL)工艺提出了技术挑战。Sigma® PVD系统采用新颖的脱气和预清洗技术,提供持续较低的Rc值,同时与其他PVD系统相比具有2倍的生产量优势。在2.5D和3D-IC应用中,SPTS的Advanced Hi-Fill®电离PVD源可在高深宽比的TSV中提供一流的的铜阻挡层/种子层填覆能力。

主要应用

硅晶圆和FO-WLP模塑式晶圆上的凸块下金属化(UBM)和重新布线(RDL),TSV阻挡层/种子层

对此产品感兴趣或有疑问?
More info 联系我们

Delta™ PECVD

对此产品感兴趣或有疑问?
More info 联系我们

Delta™ PECVD

等离子体增强化学气相沉积系统

对于先进封装应用,SPTS Delta™ PECVD系统提供低温沉积工艺,可与300mm键合基材和模塑具兼容。Delta™ PECVD可在低至110°C的沉积温度下生产高质量、合格的SiO和SiN薄膜。SiN–SiO薄膜叠层可在同一PECVD腔体中沉积,并具有高可靠性的电气性能和随时间的稳定性。薄膜和叠层应力可以在很宽的范围内调节,优化的腔体硬件使晶圆片内的应力差值低于竞争对手的PECVD系统。如有需要,可提供单晶圆和多晶圆除气选项,对基材除气并改善沉积薄膜质量。优化的SiO,TEOS-SiO和其他先进的介电薄膜也可用于熔融键合应用。

主要应用

Via-last TSV衬层,通孔暴露钝化层,薄晶圆应力补偿层,熔融键合的介质层

对此产品感兴趣或有疑问?
More info 联系我们

Are you sure?

You've selected to view this site translated by Google Translate.
KLA China has the same content with improved translations.

Would you like to visit KLA China instead?


您已选择查看由Google翻译翻译的此网站。
KLA中国的内容与英文网站相同并改进了翻译。

你想访问KLA中国吗?

如果您当前是KLA员工,请通过My Access上的KLA Intranet进行申请。

退出